Error (10327):VHDL error at xd.vhd(17):can't determine definition of operator ""+"" -- found 0 pos初学VHDL~LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY xd ISPORT (sel :IN std_logic;d0,d1 :IN std_logic;led :OUT std_logic);END ENTITY xd;ARCHITECTU

来源:学生作业帮助网 编辑:作业帮 时间:2024/09/08 18:22:16
xN@_eҋ% j$i+lҴ0lRM[' &zg}Qò'/ffQ:J(^k%Q5epI*g;?q@<4ȂB4(/?q.A  a|0{Bc!T,[u5[(V&#v/ub6uH3(D#7- HcROnQv~RY)?XLZ@„m\XrDh_Ĥ5+q\#@H+7&_8m7M:J5+VߧevslmөGsC