请教VHDL,这句话的含义:cnt '0'); 在手册上没看到这样的语句~cnt '0');

来源:学生作业帮助网 编辑:作业帮 时间:2024/08/25 19:02:45
请教VHDL,这句话的含义:cnt '0'); 在手册上没看到这样的语句~cnt '0');
xN@_Iڕ KW.\vKJѝi ((fł +^ d63|90ԧeccmu]` ՝j?an>cNj GL一yC}z]JZuxpO+ȱ փf.RRDn,DnS lRph?2QbʉDy,uY xTm'H7 4kYͻ8gHHK8cg1TD/h"8M[8ߞdPfqCOR ;ׂ#u\E_ r ߌ)|mLQ

请教VHDL,这句话的含义:cnt '0'); 在手册上没看到这样的语句~cnt '0');
请教VHDL,这句话的含义:cnt '0'); 在手册上没看到这样的语句~
cnt '0');

请教VHDL,这句话的含义:cnt '0'); 在手册上没看到这样的语句~cnt '0');
这个是给cnt赋零的意思,还可以这样用
比如说cnt是std_logic_vector(7 downto 0);
那么cnt'1',others=>'0');就表示给cnt的第1位赋1,其他位的全部都赋0,结果cnt=“00000010”;
希望这样说你可以懂得这种赋值语句的用法!