vhdL语言中for循环的作用范围是什么

来源:学生作业帮助网 编辑:作业帮 时间:2024/07/05 15:56:06
vhdL语言中for循环的作用范围是什么
xPKJPPi62q&*"NqH4"UMmhܛ܅7yXts]U׮Nۈ؄lsra` kC>g}dP;ꑡU ;.yb2S8X"Mޑ5 pAVor4[PkGPLZ6S{f)Z|hVقE`]R6 ԐB0k3 Y.W!C w[o;?۷̷i756 z46URk>

vhdL语言中for循环的作用范围是什么
vhdL语言中for循环的作用范围是什么

vhdL语言中for循环的作用范围是什么
在非仿真程序中一般不建议使用for语句的,因为复杂点的for语句是综合不了的,一般用于比较简单的功能赋值,比如例化ram用.
在仿真语句中是可以识别for语句的,一般用于对程序的赋值之类的,功能和C语言的功能是差不多的,只是格式不太一样.