简述VHDL语言基本结构

来源:学生作业帮助网 编辑:作业帮 时间:2024/07/10 23:14:10
简述VHDL语言基本结构
x){ a.>/֯}]y{y-6IEaBdY4 Y&Xٌ O{h?ٱemz`r2*2SSS"ũ`^qIJ|N~zfr^bN.*Լ̒J4CbPA~QFrNNUfV%AMk))`##9,XY\RZPTb_Rj:Eɩ 5r`VfHT= bD}@fIF*DE~qAb(d

简述VHDL语言基本结构
简述VHDL语言基本结构

简述VHDL语言基本结构
VHDL语言的基本结构
VHDL语言通常包括库说明、实体说明、结构体说明3个部分.
library ieee;
use ieee.std_logic_1164.all; --库说明
entity dff1 is
port(clk,d:in std_logic;
q:out std_logic);
end dff1; --实体说明
architecture rtl of dff1 is
begin
process(clk)
begin
if(clk'event and clk='1')then
q