关于verilog里数的描述verilog里的数可以这样表示吗?就是15表示成4‘b15,还是必须要写成4’b1111?后面的数如果不是前面表示的位数,是不是就默认是十进制的大小呢?比如3‘h98,是不是就是十进制

来源:学生作业帮助网 编辑:作业帮 时间:2024/08/09 23:09:48
关于verilog里数的描述verilog里的数可以这样表示吗?就是15表示成4‘b15,还是必须要写成4’b1111?后面的数如果不是前面表示的位数,是不是就默认是十进制的大小呢?比如3‘h98,是不是就是十进制
xS]oP9$ a ?{Ɍ31Jf5ӵ`6d_9WsN#rUy=r_g~˧ѻ:i;aoX _(fȟ&7tx=<,btg&Rm+ݒI4Bz46lK iFQ 9>BnnE$HUw}7h9GX`T$F|i7W<^@$ pjj[D`6g9pR4.ߚ e,~/Q{ϑ;FAǑ ȭh 6X"ϐbjf1.*r9ۻ\OMqeyu^$n*I=IC+y("XH2Z "g 2l9?26)pI0@5%G5߃4+ƋyzFi.RBm#rneDg(ͦr5{apJ@,,^W=s)F(CNI"=`]_/{3z ]V8 [s:a=6#q@<ՊqD+пfFӯʏ_Gͷ

关于verilog里数的描述verilog里的数可以这样表示吗?就是15表示成4‘b15,还是必须要写成4’b1111?后面的数如果不是前面表示的位数,是不是就默认是十进制的大小呢?比如3‘h98,是不是就是十进制
关于verilog里数的描述
verilog里的数可以这样表示吗?就是15表示成4‘b15,还是必须要写成4’b1111?后面的数如果不是前面表示的位数,是不是就默认是十进制的大小呢?比如3‘h98,是不是就是十进制的98,可以这样写吗?
4'd15不是表示4位的十进制数15吗?可是原数是4位的2进制数啊,虽然两个数实际大小一样,但是进制不一样了,这样可以?

关于verilog里数的描述verilog里的数可以这样表示吗?就是15表示成4‘b15,还是必须要写成4’b1111?后面的数如果不是前面表示的位数,是不是就默认是十进制的大小呢?比如3‘h98,是不是就是十进制
1.十进制15可以用4位表示为4'b1111(二进制),4'd15(十进制),或者4'hF(十六进制).也就是要与进制对应起来.4'b15就绝对是错的了,因为二进制里是只有1和0的.
2.3'h98并不是十进制的98,而是十六进制的,也就是十进制的9*16+8=152.
而3'd98是十进制的98.如果直接写98,默认就是十进制的98了.位数多高位补零,位数少就会截断.
补充:硬件里面都是用二进制的,只是为了方便可以可以用十进制表示.既然用了Verilog就要有硬件的思维了,